概览

对半导体器件和电子产品更高性能和集成度的不断追求,促使人们采用多芯片(die)和基于芯粒(chiplet)的设计,以将摩尔定律延伸至“超越摩尔”。传统的单片芯片设计无法满足对更复杂、高性能计算系统日益增长的需求的限制。

Arteris 通过实现不同芯片之间的互操作性和高效通信,在这一转变中发挥着关键作用。通过我们的生态系统合作伙伴,使用通用芯片互连通道™ (UCIe)、线束 (BoW) 或专有连接提供芯片之间的物理连接,我们的片上网络 (NoC) 技术促进了芯片之间的无缝数据流,确保开发人员在芯片设计很复杂的情况下,满足目标性能、延迟和功耗。

优势

互操作性

互操作性

使用数字控制器通过 CHI 和 AXI 等标准接口连接到行业领先的 die-to-die PHY。

带宽

带宽

通过对HBM2和多通道内存的支持,多播/广播写,VC-Link™虚拟频道以及源同步通信,增加片上和片间带宽。

低功耗

低功耗

更少的门电路和连接线使消耗的功耗更少,将通信路径分成更小的段可以实现仅为活动的段供电,同时简单的内部协议可以实现极致的时钟门控。

标准

从系统设计的角度来看,为芯片(die) 到芯片(die)的数据传输选择合适的 NoC 协议对于满足性能、延迟和功耗要求至关重要。

Arteris 已与 Synopsys、Cadence、Alphawave、Blue Cheetah Analog、Innosilicon 等合作伙伴展开合作,以实现 NoC 与控制器/PHY 接口的一致。此外,Arteris还积极参与相关的标准化工作。

具体而言,Arteris 积极参与以下标准化工作:

标准